전가산기

it/network

전가산기 :: Full Adder

전가산기는 반가산기 2개와 논리합 1개로 이루어진것으로 덧셈을 수행할때 하위자리에서 발생한 올림수까지 포함하여 계산하는 것이다. 반가산기는 올림수를 고려하지 않았었지만 전가산기는 올림수까지 입력받아 계산하는것이다. 회로도는 입력 3개( A, B, C in ), 출력 2개( S, C out) 으로 이루어진다. 여기서 A와 B는 계산하고자하는 2개의 수 Cin은 Carry In, 올라오는 올림수이다. 또한 S는 Sum, 계산되어 나온 수 Cout은 Carry Out, 혹시 올림수가 있을경우 올림수를 나타낸다. 0 + 0 + 0 = 0 하고도 0 올림수 출력0 + 0 + 1 = 1 하고도 0 올림수 출력0 + 1 + 0 = 1 하고도 0 올림수 출력0 + 1 + 1 = 0 하고도 1 올림수 출력1 + 0 + ..

반응형
훈솔
'전가산기' 태그의 글 목록